[디지털시스템설계] VDHL을 이용한 Dot-matrix활용

 1  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-1
 2  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-2
 3  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-3
 4  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-4
 5  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-5
 6  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-6
 7  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-7
 8  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-8
 9  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-9
 10  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-10
 11  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-11
 12  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-12
 13  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-13
 14  [디지털시스템설계] VDHL을 이용한 Dot-matrix활용-14
※ 미리보기 이미지는 최대 20페이지까지만 지원합니다.
  • 분야
  • 등록일
  • 페이지/형식
  • 구매가격
  • 적립금
자료 다운로드  네이버 로그인
소개글
[디지털시스템설계] VDHL을 이용한 Dot-matrix활용에 대한 자료입니다.
본문내용
●목적●


수업시간에 vdhl을 이용하여 여러 가지 많은 실험들을 했었다, 그 중에서도 도트매트릭스 실험을 했을때가 가장 기억에 남아서, 이번 프로젝트 때
도트매트릭스를 이용하여 내 이름 3 글자를 나타내기로 하였다.
신호등, 시계 만들기 등 여러 가지가 있지만, 글자를 표현하는 것이
의미가 있는 것 같아서 Dot matrix를 했다.
내 마음속에 있는 생각들을 글자로 모두 표현하고 싶지만. 무엇보다도
가장 중요한 것이 내 이름 유 준 호 라는 세 글자이기 때문에, 아쉽지만
이름만 표현하기로 했다.

도트 매트릭스란 지하철 전광판에서 볼수 있는 점점으로 글씨나 그림을 표시하는 전자부품이다.
지하철에서나 또는 술집 같은데 광고글씨 지나가는 것이다.
이런 도트 매트릭스의 기본 동작은 아래와 같다.
LED는 발광다이오드이므로 순방향으로 전압이 걸릴때 켜지게 된다. 위 그림에서는 빨간선이 검은선보다 높은 전압이 걸린다면 켜지게 된다.
만약 위 그림과 같이 64개의 다이오드를 켜기위해서는 64개의 MICOM IO 핀이 필요할 수도 있다. 하지만 그것은 매우 번거로운 일이므로 메트릭스를 구현해서 LED를 켜게 된다.
하고 싶은 말
오늘 본 자료
더보기
  • 오늘 본 자료가 없습니다.
해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용,무단 전재·배포는 금지되어 있습니다. 저작권침해, 명예훼손 등 분쟁요소 발견 시 고객센터에 신고해 주시기 바랍니다.