[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계

 1  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-1
 2  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-2
 3  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-3
 4  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-4
 5  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-5
 6  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-6
 7  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-7
 8  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-8
 9  [디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계-9
※ 미리보기 이미지는 최대 20페이지까지만 지원합니다.
  • 분야
  • 등록일
  • 페이지/형식
  • 구매가격
  • 적립금
자료 다운로드  네이버 로그인
소개글
[디지털시스템] VHDL을 이용하여 ALU[Arithmetic logic unit]을 설계에 대한 자료입니다.
본문내용
1. Background
- 산술논리 연산장치 ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리장치에 의해 통제 된다.

3. ALU 설계방법
- 먼저 Logic unit block, Arithmetic Unit block, Mux block, Shifter block을 각각 설계하였습니다. 그리고 ALU라는 이름을 가진 Top block을 만들어 각 입출력 포트들을 내부에서 시그널을 통하여 이어주었습니다. 시뮬레이션은 각 block 단위로 먼저 수행하여 정상 동작 여부를 확인한 후 각 block을 결합한 Top block에 대한 시뮬레이션을 실시하였습니다
하고 싶은 말