Ⅰ. 목 표
AVR ATmega128을 이용하여 스탑워치(Stop Watch)를 구현해본다. 분:초:프레임(1/100) 의 구성으로 00:00:00 ~ 59:59:99 의 범위안의 시간을 카운팅한다. 그리고 Start, Stop, Reset 스위치 버튼을 이용해서 스탑워치의 시간을 시작하고, 멈추고, 초기화시킬 수 있도록 한다.
AVR의 Timer/Counter 기능을 이용하고 7-Seg
스탑 와치’를 구현해 본 경험이 있었다. 그 후 3학년 실험을 통하여 증폭기와 PID 제어기를 구현해본 적이 있었다.
Ⅱ 본론
2.1 기본 원리
2.1.1 마이크로 프로세서
𝐀. 개요
CPU는 레지스터, ALU, 멀티플렉서, 디코더 등 여러 가지 디지탈 기능을 수행하는 소자들을 조합해서 설계할 수가 있다. 이러
AVR 마이크로컨트롤러는 미국의 ATMEL사에서 제공하고있는 CPU를 말한다. 이것의 장점은 C언어뿐만 아니라 어셈블리 언어에서도 보다 더 최적화된 코드 크기를 가지고 지금까지의 일반 프로세서보다 4∼12배 빠르게 동작한다. 또한, ATMEL의 비휘발성 메모리 기술을 기반으로 Flash Memory와 EEPROM을 기본사양으
AVR Processor 기반 Microcontroller Unit인 ATMEGA 128 라이트 모듈로 Digital Clock, Stop Watch, Alarm, Down Count Timer를 구현하고 그 동작 및 기능을 분석하고 이해한다.
2. 기능
총 4가지 Mode가 존재하며, Button 1을 이용하여 Mode를 변경할 수 있다.
Mode 0 : Digital Clock
가장 기본적인 기능으로써 Timer를 이용한 디지털 시계이다.
☉스탑워치 VHDL 설계☉
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity stop is
PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_B : in std_logic;
SW_C : in std_logic;
SW_D : in std_logic;
SEG_DATA : out std_logic_vector(7 downto 0);
SEG_COM : buffer std_logic_vector(7 downto 0)
);
end stop;