<첫 번째 프로젝트>
1. 설계 목표
회로를 이해하고 분석할 수 있는 능력 및 설계할 수 있는 능력을 배양
2. 설계
(1) 다음 회로를 페이저회로를 그리고 지정된 모든 변수의 교류정상상태 값을 PSPICE를 사용하여 분석하시오.
① 페이저회로를 이용한 전류와 전압 구하기
시간영역의 회로를 페이
공진회로의 활용예(1) –과거 -
전자레인지
전자레인지는 내부에 마그네트론(magnetron)이라고 부르는 심장부에서 전파를 방출하게 되며, 이 전파는 진동수가 2,450MHz로 진동하게 됩니다. 모든 물체에는 저마다 고유진동수라는 것이 있는데, 이 고유진동수에 해당되는 전파나 파동에너지를 흡수
설계방법 및 소스코드
1.1. Motor.vhd (전체적인 몸체, 자동조종모드)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
입출력 포트 선언
entity motor is
port( CLK_4M : in std_logic;
RF리모콘 데이터
RSTB : in std_logic;
RF_DATA : in std_logic_vector(2 downto 0);
MTL_A : out
공진현상을 보인 것은 아니지만 18.75Hz보다 조금 큰 값(18.9Hz 부근)에서 정현파를 가해 공진을 시켰다. 이는 우리 조의 분석과정에서의 오차일 수도 있고 실험상의 오차일 수도 있다. 이런 오차의 분석은 뒷부분에서 다시 언급하겠다.
공진현상을 확인한 다음에는 중간 보고서에서 설계해 온 흡진기(B)
설계 도면을 만들어 건축허가를 받아 주는 일을 대행하는 건축사무소를 말한다.
이들은 수백 장의 표준도면을 작성해 놓은 후 시공업체 혹은 건축주의 요구에 따라, 그 대지의 건축법규의 제한에 따라 허가 도면을 발행한다. 이를 통해 만들어지는 시간적, 비용적 경제성을 통해 타 사무소에 비해