[프로젝트/발표] Smart Doorlock 제작

 1  [프로젝트/발표] Smart Doorlock 제작-1
 2  [프로젝트/발표] Smart Doorlock 제작-2
 3  [프로젝트/발표] Smart Doorlock 제작-3
 4  [프로젝트/발표] Smart Doorlock 제작-4
 5  [프로젝트/발표] Smart Doorlock 제작-5
 6  [프로젝트/발표] Smart Doorlock 제작-6
 7  [프로젝트/발표] Smart Doorlock 제작-7
 8  [프로젝트/발표] Smart Doorlock 제작-8
 9  [프로젝트/발표] Smart Doorlock 제작-9
 10  [프로젝트/발표] Smart Doorlock 제작-10
 11  [프로젝트/발표] Smart Doorlock 제작-11
 12  [프로젝트/발표] Smart Doorlock 제작-12
 13  [프로젝트/발표] Smart Doorlock 제작-13
 14  [프로젝트/발표] Smart Doorlock 제작-14
 15  [프로젝트/발표] Smart Doorlock 제작-15
 16  [프로젝트/발표] Smart Doorlock 제작-16
 17  [프로젝트/발표] Smart Doorlock 제작-17
 18  [프로젝트/발표] Smart Doorlock 제작-18
 19  [프로젝트/발표] Smart Doorlock 제작-19
 20  [프로젝트/발표] Smart Doorlock 제작-20
※ 미리보기 이미지는 최대 20페이지까지만 지원합니다.
  • 분야
  • 등록일
  • 페이지/형식
  • 구매가격
  • 적립금
자료 다운로드  네이버 로그인
소개글
[프로젝트/발표] Smart Doorlock 제작에 대한 자료입니다.
목차
1. 목표
2. 부품 설명
3. DEMO
4. 앞으로의 계획
5. 출처 및 질의응답
본문내용
아두이노와 블루투스는 SoftwareSerial로 연결되어있기 때문에 블루투스 통신을 위해 SoftwareSerial 라이브러리를 불러옵니다.
회로도에 꽂아둔 것처럼 RX핀은 2번 핀, TX핀은 3번 핀으로 설정합니다.
pinMode(9, OUTPUT) : 9번 핀을 출력으로 사용한다는 것입니다.
BTSerial.begin()은 블루투스로 데이터 전송할 때 데이터묶음의 전송속도를 설정하는 함수합니다.
BTSerial.available()은 데이터를 수신할 때 사용하는 함수입니다.
BTSerial.available()에서 블루투스로부터 받은 데이터가 있는지 확인하고 있다면 BTSerial.read()에서 데이터를 읽습니다.
읽은 데이터가 a라면 case’a’로 가게 되어 9번 핀에서 전원on 0.1초간, 전원off시킵니다.
참고문헌
http://www.hardcopyworld.com/ngine/aduino/index.php/archives/1085

https://www.youtube.com/watch?v=o0Fx-lNJINQ&t=1825s

https://www.youtube.com/watch?v=O25t4_AulzQ

https://www.youtube.com/watch?v=3e6GMI4Szwk&list=PLf8roV9OYDiHCOrnXIlbrzbeQ0pJsaH8v

https://ko.wikipedia.org/wiki/%EC%95%84%EB%91%90%EC%9D%B4%EB%85%B8
하고 싶은 말
핸드폰 어플로 연동하여 음성 혹은 버튼으로 원격 조작 가능한 Smart Doorlock 제작 자료입니다.
상세한 코드 및 제작 방법 나와있습니다.

*슬라이드 노트에 상세한 설명