[전기전자] [디지털논리회로]멀티플렉서, 디멀티플렉서(Multiplexer, Demultiplexer) 실험결과보고서

 1  [전기전자] [디지털논리회로]멀티플렉서, 디멀티플렉서(Multiplexer, Demultiplexer) 실험결과보고서-1
 2  [전기전자] [디지털논리회로]멀티플렉서, 디멀티플렉서(Multiplexer, Demultiplexer) 실험결과보고서-2
 3  [전기전자] [디지털논리회로]멀티플렉서, 디멀티플렉서(Multiplexer, Demultiplexer) 실험결과보고서-3
 4  [전기전자] [디지털논리회로]멀티플렉서, 디멀티플렉서(Multiplexer, Demultiplexer) 실험결과보고서-4
 5  [전기전자] [디지털논리회로]멀티플렉서, 디멀티플렉서(Multiplexer, Demultiplexer) 실험결과보고서-5
※ 미리보기 이미지는 최대 20페이지까지만 지원합니다.
  • 분야
  • 등록일
  • 페이지/형식
  • 구매가격
  • 적립금
자료 다운로드  네이버 로그인
소개글
[전기전자] [디지털논리회로]멀티플렉서, 디멀티플렉서(Multiplexer, Demultiplexer) 실험결과보고서에 대한 자료입니다.
목차
1. 결과 분석
2. 토의
본문내용
1. 결과 분석
(1) 멀티플렉서
① Verilog HDL 코드 분석(주석의 형태로 분석하였음)
module MUX_4_TO_1 (I0, I1, I2, I3, Y, S); // 모듈이름과 입력, 출력변수를 정함.
input I0, I1, I2, I3;
input [1:0] S; // S 입력은 2bit의 값이 들어가므로 2bit임을 명해줌.
output Y;
reg Y;
always @ (I0 or I1 or I2 or I3 or S) begin
case(S) // S의 입력의 경우와 그 때의 출력을 아래에 적어준다.
2'b00: Y = I0; // 2bit 입력 S의 경우에 따른 출력.
2'b01: Y = I1;
2'b10: Y = I2;
2'b11: Y = I3;
default: Y = I0;
endcase
end
endmodule
- 코드를 살펴보면, 간단한 구조로 되어있다. 우선 우리가 가동시킬 코드 이름과 필요한 모든 입력과 출력의 변수들을 적절하게 정하여 준다. 그런다음 입력 값인 S가 2bit 이므로 가능한 모든 경우의 수 4가지 경우 각각에 해당하는 출력값을 정하여 준다.
② Waveform
- 이 웨이브 폼을 이해하기 위해, 잠시 진리표를 살펴보자.
여기 진리표를 살펴보면, S0와 S1이 0, 0 일 때 I0 가 선택되는데, S0와 S1이 0, 0 일 때 웨이브 폼을 살펴보면 4가지의 I값들 중에 I0만이 1로 정해져있고, I0가 제대로 선택이 되어 출력 Y의 값에도 1이 나타남을 확인할 수 있다. 나머지 세 경우에도 각각 1로 지정되어있는 I 값들이 출력 Y값에 1로 잘 나오고 있음을 다시 한번 확인할 수 있다.
(