자동차에서 가장 중요한 것은 달리는 것이고, 달리는 동작을 하는 부품 중, 가장 아래서 말 없이 일하는 일꾼이 자동차 타이어이다. 타이어는 정말 자신의 몸을 바쳐서 봉사를 한다. 그 중에서도 타이어의 핵심요소인 ‘휠’의 중요성은 아무리 강조해도 지나치지 않는다.
그럼 자동차가 굴러가기 위
설계하였다.
2) 미끄러짐 방지 시스템
-바퀴의 폭이 차체에 비해 상대적으로 좁기 때문에 오르막길을 오를 때 마찰력이 부족해서 잘 나아가지 못할 경우가 생길 수 도 있다. 그것을 보완하기 위해 양면테이프를 붙여서 오르막길에서도 바퀴가 헛돌지 않도록 설계하였다.
2 상세설계(CAD)
2.1 대표부품
Ⅱ. 파워 윈도우 모듈
1. 모터의 용량
그림2 는 20℃ 12V의 전압에서 구동전류의 변화를 나타내는 그래프이다. 위의 그래프를 보면 파워 윈도우 기동시 전류가 급격히 증가하며 불안정하게 변동한다. 그 이후 유리가 올라가면서 전류는 비교적 일정한 값을 갖다가 2.5초 부근에서 유리가 완전히 닫힘에
설계방법 및 소스코드
1.1. Motor.vhd (전체적인 몸체, 자동조종모드)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
입출력 포트 선언
entity motor is
port( CLK_4M : in std_logic;
RF리모콘 데이터
RSTB : in std_logic;
RF_DATA : in std_logic_vector(2 downto 0);
MTL_A : out
고정대에 고정하고 작업하기 쉽지 않은 모양이나 크기를 작업 해야 하는 경우도 많았다.
첫번째 자동차 (시행착오)
: 바퀴와 차체의 위치와 크기문제
옆판에서 바퀴의 위치와 크기가 잘 맞지 않아 다시 제작
자체평가
등판, 가속에서 뛰어난 성능을 보였지만직진성이 조금 아쉬웠다
원인