model을 사용하기로 했다. 즉 영철street버거 사장인 이영철 사장과의 심층 인터뷰를 하고 한편으로는 일반 고객 중 충성고객인(매일 영철버거를 이용하는 고객) 고대생과 비충성고객인(일주일에 2~3번 정도 오는 고객) 성북주민을 인터뷰를 하는 방식으로 dual interview를 실시했다. 이영철 사장과는 1년 이상
논리모형은 간단히 정의하면 프로그램이 어떻게 작동할 것인지에 대한 우리의 기대와 예측을 도표로 나타내는 것이다.
앞에서 학습한 바에 의하면 프로그램 기획은 하나의 사회복지 프로그램이 클라이언트의 삶의 질을 향상시키려는 목적을 효과적으로 달성할 수 있도록 그 내용과 과정을 개발하
프로그램 논리 모델은 목표와 결과 사이의 관계를 설명하는 도식이다. 이러한 프로그램의 논리 모델은 다음의 세 가지 면에서 유용하게 사용된다.
① 다양한 프로그램들의 목적과 목표들을 명확하게 만들고자 하는 프로그램 계획가, 직원, 제3자들에게 도움이 된다.
② 프로그램이 진척되는 동
동물병원 관리 프로그램
발표 개요
프로젝트 개요
업무 구성도
단위업무 요약 설명
업무 기술서
업무흐름도
정규화 단계
Logical Model
Physical Model
프로젝트 개요
사랑하는 애완동물들에게 최고의 의료환경을 제공.
진료와 진료비 계산, 물품 관리 등을 통합적으로 관리함으로써 동물병원 운영자에게 간편
로직모델(logicmodel)은 프로그램의 기획, 관리, 평가를 위한 도구로 많이 사용되어져 왔다(Wholey, 1987). 로직모델을 우리식 표현으로 옮겨보면 논리모형이라고 말할 수 있는데, 논리모형보다 로직모델이라는 용어를 학계와 현장에서 더 많이 사용하고 있기 때문에 여기에서는 용어를 통일시켜 로직모델이
사회복지 프로그램의 논리모형(LogicModel)
논리모형은 프로그램이 어떻게 작동할 것인지에 대한 우리의 기대와 예측을 도표로 나타내는 것이다.
프로그램 기획은 하나의 사회복지 프로그램이 클라이언트의 삶의 질을 향상시키려는 목적을 효과적으로 달성할 수 있도록 그 내용과 과정을 개발하는 과정
Logic 및 물류 흐름을 정의할 수 있는 Engineering 성향의 언어로 이루어진 Factory 시뮬레이션 시스템이다. AUTOMOD는 공장 제조 및 물류 시스템 전용 시뮬레이션 시스템으로 다른 시뮬레이션과는 달리 3차원 상에서 크기·거리·공간 등과 같은 구조적 한계를 정확하게 나타낼 수 있다.
위에서 알아본 Step과
1. 개 요
○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습
○ TEST bench, simulation 방법 이해
2. 문 제
(1) 3*8 Decoder
-Behavioral modeling
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
port (x : in std_logic_vector(2 downto 0);
d : out std_logic_vector(7 downto 0));
end decoder;
architecture behavioral of decoder
1. 개 요
○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습
○ TEST bench, simulation 방법 이해
2. 문 제
(1) 3*8 Decoder
-Behavioral modeling
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
port (x : in std_logic_vector(2 downto 0);
d : out std_logic_vector(7 downto 0));
end decoder;
architecture behavioral of decoder